CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL DMA

搜索资源列表

  1. 1024_FFT

    1下载:
  2. 1024点FFT快速傅立叶变换,包含说明文档和VHDL源代码,16位输入/输出,带DMA功能,xilinx的ip-1024-point FFT fast Fourier transform, and includes documentation, VHDL source code, 16 input / output, with DMA function, the ip xilinx
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:629675
    • 提供者:刘超
  1. ocidec3_IDE_controller

    0下载:
  2. 硬盘控制器VHDL源代码,实现了PIO和DMA方式,请支持-hard disk controller VHDL source code and realized the PIO and DMA mode, please support
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:39521
    • 提供者:陈磊
  1. vgac_sst160aN

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA的32位Nios CPU嵌入式系统及其DMA设计俄罗斯方块游戏机
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2194210
    • 提供者:多幅撒
  1. mpdma.tar

    0下载:
  2. DMA VHDL 设计IP核经常遇到大数据交换要用DMA,本IP核来自开源组织,免费开源版
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:93119
    • 提供者:黄光边
  1. AlteraDMAdetector

    0下载:
  2. altera DMA代码控制器,开发环境为QUARTUS-altera DMA controller code, development environment for QUARTUS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:203423
    • 提供者:珍爱一生
  1. sdcard_mass_storage_controller_latest.tar

    1下载:
  2. 基于wishbone总线的SD Card IP Core,有Verilog和VHDL两种语言版本,包含了FIFO和DMA两种实现方式,是开源的IP Core-Based on the wishbone bus SD Card IP Core, there are two language versions of Verilog and VHDL, including the FIFO and DMA implemented in two ways, is open source IP Core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2271649
    • 提供者:张亚群
  1. dma_hussam

    0下载:
  2. verilog code for dma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:958396
    • 提供者:hussamkh
  1. 8237a

    0下载:
  2. simple dma controller in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4131
    • 提供者:dyded
  1. DMA

    0下载:
  2. DMA controller VHDL code entity dma is generic ( ADDR_WIDTH : integer := 16 -- default value DATA_WIDTH : integer := 16 -- default value ) port ( RESET_L : in std_logic CLK : in std_logic DRQ_L : in std_logic DMAA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:2324
    • 提供者:Vlad
  1. DMA_TOP

    1下载:
  2. vhdl code of dma module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:1245
    • 提供者:rashmi
  1. Intel 8237A DMA Controller

    2下载:
  2. 经典DMA控制器8237A的VHDL设计,对设计DMA控制器有很高的参考价值。-Classic DMA controller 8237A of the VHDL design, the design of the DMA controller has a high reference value.
  3. 所属分类:VHDL编程

    • 发布日期:2013-07-11
    • 文件大小:44162
    • 提供者:hyh1114@qq.com
  1. DMA-contr

    0下载:
  2. vhdl program for DMA controller
  3. 所属分类:Project Design

    • 发布日期:2017-04-29
    • 文件大小:16985
    • 提供者:zameer
  1. microzed-axi-dma

    1下载:
  2. microzed (zynq) axi dma source vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:20241
    • 提供者:ulsonic
  1. Dma

    0下载:
  2. DMA Controller Code in VHDL
  3. 所属分类:Compiler program

    • 发布日期:2017-04-12
    • 文件大小:769
    • 提供者:Alex
  1. pcie_sg_dma_latest.tar

    0下载:
  2. 使用vhdl硬件描述语言实现的 PCIE DMA,资料详尽,与大家共享-vhdl for dma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:41709568
    • 提供者:姜晓明
  1. BCH

    0下载:
  2. BCH coder and decoder. Uses special DMA connection
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:17408
    • 提供者:Arrtix
« 1 2»
搜珍网 www.dssz.com